100000 1; 100000 1; 100000 1; 100100 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 110000 1; 100100 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 110100 1; 110000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 101000 1; 100000 1; 100000 1; 100100 1; 100000 1; 100000 1; 100000 1; 110000 1; 100000 1; 100000 1; 100000 1; 110000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 100000 1; 110001 1; 100000 1; 100000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010111 1; 010100 1; 010000 1; 010000 1; 010011 1; 010000 1; 010000 1; 010100 1; 010000 1; 010010 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010010 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010110 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010110 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010010 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010100 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010010 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 010000 1; 011010 1; 010000 1; 011000 1; 010000 1; 010100 1; 010000 1; 011000 1; 011000 1; 010000 1; 010000 1; 010000 1; 011000 1; 011000 1; 010000 1; 011000 1; 010000 1; 011000 1; 011000 1; 010000 1; 010000 1; 001000 1; 001000 1; 001000 1; 001010 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001100 1; 001000 1; 001000 1; 001000 1; 001001 1; 001000 1; 001110 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001100 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001110 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001010 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001100 1; 001000 1; 001000 1; 001010 1; 001000 1; 001000 1; 001000 1; 001000 1; 001000 1; 001110 1; 001100 1; 001000 1; 001100 1; 001000 1; 001100 1; 001110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000111 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000111 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000111 1; 000100 1; 000100 1; 000100 1; 000101 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000110 1; 000111 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000111 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000101 1; 000101 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000111 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000101 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000111 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000111 1; 000100 1; 000100 1; 000110 1; 000101 1; 000110 1; 000100 1; 000100 1; 000111 1; 000100 1; 000100 1; 000110 1; 000100 1; 000101 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000110 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000101 1; 000110 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000110 1; 000100 1; 000110 1; 000100 1; 000110 1; 000110 1; 000101 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000101 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000110 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000110 1; 000110 1; 000100 1; 000110 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000110 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000100 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000011 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000011 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1; 000010 1;