gf3 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 dgdelay 2 2 0 0 0 4 1 6 1 64 6 "1(zero):label Delays :zero;" "1:SEQUENCE:at:3,tr:3,te:3,ti:3,tm:3,;" "1:PHASE ENCODING:tpe:3,tpe2:3,tpe3:3;" "2:GENERAL:d1:3,d2:3,d3:3,d4:3,d5:3,,tau:3,tau1:3,tau2:3;" "3:CARDIAC GATING:ticks:0,hold:3,;" "3:SPECIAL:trelax:3,tdelta:3,tDELTA:3,tflow:3,tspoil:3;" 0 birthday 2 2 8 0 0 3 1 0 1 64 4 "" "" "" "" 0 aipStudy 2 2 8 0 0 2 1 0 1 64 1 "" 0 adir2tmplt 2 2 8 0 0 2 1 0 1 64 1 "" 0 a_s2n 7 1 32767 0 0 3 1 0 1 64 1 5 0 a_increment 7 1 32767 0 0 3 1 0 1 64 1 1 0 a_dmg_default 4 2 4 0 0 3 1 0 1 64 1 "y" 0 H1reffrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 599.838765597 0 B0 1 1 1000000 -1000000 0 2 1 0 1 64 1 140883.034206 0 RMScurrentlimit 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 15 0 H1temp 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 -515.4 0 a_fid_min 7 1 32767 0 0 3 1 0 1 64 1 1 0 a_fid_max 7 1 32767 0 0 3 1 0 1 64 1 10 0 a_fid_no 7 1 32767 0 0 3 1 0 1 64 1 2 0 a_repeat 4 2 4 0 0 3 1 0 1 64 1 "n" 0 a_no_skip 7 1 32767 0 0 3 1 0 1 64 1 6 0 a_no_points 7 1 32767 0 0 3 1 0 1 64 1 100 0 a_rmsd 7 1 32767 0 0 3 1 0 1 64 1 200 0 acqpath 2 2 8 0 0 2 1 0 1 64 1 "" 0 acqcycles 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 a_watref 4 2 4 0 0 3 1 0 1 64 1 "y" 0 a_sum_all 4 2 4 0 0 2 1 0 1 64 1 "n" 0 acqdim 7 1 32767 0 0 2 1 0 1 64 1 1 0 acqtype 2 2 0 0 0 2 1 1 1 64 1 "" 0 acqstatus 7 1 32767 0 0 2 1 256 1 64 2 101 0 0 actionid 2 2 8 0 0 2 1 0 1 64 1 "n005" 0 aipFidMenu 2 2 8 0 0 2 1 0 1 64 1 "off" 0 aig 2 2 2 0 0 4 1 1 1 64 1 "ai" 2 "nm" "ai" advancedRF 2 2 8 0 0 2 1 0 1 64 1 "n" 0 adjgspoil 2 2 8 0 0 2 1 0 1 64 1 "y" 0 adirtmplt 2 2 8 0 0 2 1 0 1 64 1 "" 0 age 1 1 9.9e+17 0 0.001 3 1 0 1 64 1 0 0 aipFDF 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipDir 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipFDFtosq 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipFDFMenu 2 2 8 0 0 2 1 0 1 64 1 "off" 0 aipFid 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipRunTime 2 2 8 0 0 2 1 0 1 64 1 "dicom" 0 aipPTag 2 2 8 0 0 2 1 0 1 64 1 "n" 0 aipFormat 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipPath 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipScanMenu 2 2 8 0 0 2 1 0 1 64 1 "fdf" 0 aipScale 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 1 64 1 1 0 arraymax 7 1 32767 0 0 4 1 0 1 64 1 15 0 apptype 2 2 0 0 0 2 1 1 1 64 1 "im1D" 0 alock 2 2 8 0 0 2 1 0 1 64 1 "n" 5 "a" "n" "s" "u" "y" alfa 6 1 13 13 13 2 1 8194 1 64 1 4 0 aipSubdir 2 2 8 0 0 2 1 0 1 64 1 "" 0 aipStudyMenu 2 2 8 0 0 2 1 0 1 64 1 "off" 0 allvolumes 2 2 8 0 0 2 1 0 1 64 1 "y" 0 ap 2 2 1023 0 0 4 1 6 1 64 12 "1:SAMPLE:date,file;" "1:NUCLEUS:tn,sfrq:3,resto:1;" "1:ACQUISITION:sw:1,at:3,np:0,nv:0,nt:0,gain:0,dp;" "1:DELAYS:tr:4,te:4,tspoil:4;" "1:RF PULSES:rfcoil,p1:1,p1pat,tpwr1:0;" "1:GRADIENTS:gcoil,pilot,gro:3,gpe:3,gss:3,gror(pilot='n'):3,gssr(pilot='n'):3;" "1:FIELD OF VIEW:orient,lro:2,lpe:2,pro:2;" "2:SLICE SELECTION:ns:0,thk:2,pss:2;" "2:DISPLAY:sp:1,wp:1,vs:0,sc:0,wc:0,hzmm:2,is:2,rfl:1,rfp:1,th:0,ins:3,aig*,dcg*,dmg*;" "2:2D DISPLAY:sp1:1,wp1:1,sc2:0,wc2:0,rfl1:1,rfp1:1;" "2:PROCESSING:lb(lb):2,sb(sb):3,sbs(sb):3,gf(gf):3,gfs(gf):3,awc(awc):3,lsfid(lsfid):0,phfid(phfid):1,fn:0,werr,wexp,wbs,wnt;" "2:2D PROCESSING:lb1(lb1):2,sb1(sb1):3,sbs1(sb1):3,gf1(gf1):3,gfs1(gf1):3,awc1(awc1):3,fn1:0;" 0 anatomy 2 2 8 0 0 2 1 0 1 64 1 "" 0 arraydim 7 1 32768 1 1 2 1 5 1 64 1 1 0 archivedir 2 2 8 0 0 2 1 0 1 64 1 "" 0 aqtm 3 1 14 14 14 2 1 8192 1 64 1 1 0 arraySave 2 2 8 0 0 2 1 0 1 64 1 "nt" 0 array 2 2 256 0 0 2 1 1 1 64 1 "" 0 arraydelta 7 1 32767 0 0 2 1 0 1 64 1 1 0 arrayelemts 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 arraydscale 4 2 4 0 0 2 1 0 1 64 1 "n" 0 arraydodc 4 2 4 0 0 2 1 0 1 64 1 "y" 0 arrayflip 4 2 4 0 0 2 1 0 1 64 1 "n" 0 awc 1 1 10000 -10000 1e-06 3 1 1 0 64 1 0 0 at 1 1 14 14 14 2 1 8203 1 64 1 1 0 arraystop 7 1 32767 0 0 2 1 0 1 64 1 15 0 arraystart 7 1 32767 0 0 2 1 0 1 64 1 1 0 arraywhitewash 4 2 4 0 0 4 1 0 1 64 1 "n" 0 autoph 2 2 8 0 0 2 1 0 1 64 1 "y" 0 autooffset 4 2 0 0 0 2 1 0 1 64 1 "y" 0 avwdir 2 2 8 0 0 3 1 0 1 64 1 "" 0 axis 4 2 4 0 0 4 1 0 1 64 1 "p" 11 "c" "d" "1" "2" "3" "h" "k" "m" "n" "p" "u" awc2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 awc1 1 1 10000 -10000 1e-06 3 1 1 0 64 1 0 0 awc3 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 b0delay 6 1 13 13 13 2 1 8192 1 64 1 0 0 axisf 4 2 4 0 0 4 1 0 1 64 1 "s" 4 "m" "n" "s" "u" b1max 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4310.49568374 0 ddrfw2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 currentlimit 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 100 0 cr1 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 0 0 cf 7 1 1000000 0 1 3 1 1 1 64 1 1 0 bs 7 1 32767 0 1 2 1 0 1 64 1 1 0 boresize 1 1 1000000 -1000000 0 2 1 0 1 64 1 5.5 0 bodycoil 4 2 4 0 0 2 1 0 1 64 1 "y" 0 celem 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 bvalue 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 1 64 1 0 0 console 2 2 8 0 0 2 1 0 1 64 1 "vnmrs" 0 comment 2 2 8 0 0 3 1 0 1 64 1 "S25m4d14" 0 chessfrq 5 1 8 8 8 2 1 8192 1 64 1 0 0 cppauseflg 4 2 4 0 0 4 1 0 1 64 1 "n" 0 cp 2 2 1 0 0 2 1 0 1 64 1 "n" 2 "y" "n" cr 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 2849.18696298 0 csdcppm 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.5 0 crf 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 csd_ppm 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 ct 7 1 1000000000 0 1 2 1 6 1 64 1 512 0 d4 3 1 14 14 14 2 1 8192 1 64 1 0 0 d2 3 1 14 14 14 2 1 8192 1 64 1 0 0 d1 3 1 14 14 14 2 1 8192 1 64 1 0 0 customflag 4 2 4 0 0 2 1 0 1 64 1 "n" 0 cutoff 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 0 64 1 200 0 d1end 3 1 14 14 14 2 1 8192 1 64 1 0.03 0 d1array 3 1 14 14 14 3 1 8192 1 64 15 0 2 4 6 8 10 12 14 16 18 20 22 24 26 28 0 d1start 3 1 14 14 14 2 1 8192 1 64 1 0 0 d3 3 1 14 14 14 2 1 8192 1 64 1 0 0 d2array 7 1 32767 0 0 2 1 0 1 64 1 0 0 d3array 7 1 32767 0 0 2 1 0 1 64 1 0 0 datname 2 2 8 0 0 3 1 0 1 64 1 "" 0 dataid 2 2 8 0 0 3 1 0 1 64 1 "" 0 dataProcessed 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 1 64 1 0 0 d5 3 1 14 14 14 2 1 8192 1 64 1 0 0 date 2 2 9 0 0 2 1 1 1 64 1 "Sep 10 2020" 0 dcrmv 2 2 0 0 0 4 1 0 1 64 1 "y" 0 dconi 2 2 0 0 0 4 1 0 1 64 1 "dcon,gray,linear" 0 dcg 2 2 3 0 0 4 1 1 1 64 1 "" 2 "dc" "cdc" ddrfreq 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 -0 0 ddrcr 1 1 1000 2 0 2 1 0 1 64 1 70 0 ddrfw1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 decpat 2 2 0 0 0 2 1 0 1 64 1 "" 0 ddrscale 1 1 1000 1 0 2 1 0 0 64 1 1 0 ddrmaxcr 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 550 0 ddrm2 7 1 1000 1 1 2 1 0 1 64 1 4 0 ddrm1 7 1 1000 1 1 2 1 0 1 64 1 125 0 ddro2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 70 0 ddro1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 7 0 ddrphase 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 ddrtc 6 1 100 0 0 2 1 0 1 64 1 0 0 ddrstages 7 1 2 0 1 2 1 0 0 64 1 2 0 ddrsr 1 1 10000000 2500000 2500000 2 1 0 0 64 1 5000000 0 ddrx2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 ddrx1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 -0 0 debug 1 1 1 0 1 2 1 1 1 64 1 0 0 deltaf 1 1 100 0 0 4 1 0 1 64 1 0.12033038419 0 decpat4 2 2 0 0 0 2 1 0 1 64 1 "" 0 decpat2 2 2 0 0 0 2 1 0 1 64 1 "" 0 decpat1 2 2 0 0 0 2 1 0 1 64 1 "" 0 decpat3 2 2 0 0 0 2 1 0 1 64 1 "" 0 delta 1 1 5000000 0 0 4 1 1 1 64 1 140.361296825 0 decpat5 2 2 0 0 0 2 1 0 1 64 1 "" 0 delta1 1 1 5000000 0 0 4 1 3 1 64 1 0 0 dfrq4 1 1 1000000000 0 0 2 1 9 1 64 1 0 0 dfrq2 1 1 1000000000 0 0 2 1 9 1 64 1 0 0 dfrq 5 1 1000000000 -1000000000 0 2 1 8 1 64 1 150.8426179 0 dfrq3 1 1 1000000000 0 0 2 1 9 1 64 1 0 0 dg1 2 2 0 0 0 4 1 6 1 64 12 "1:DISPLAY:sp:1,wp:1,sp1:1,wp1:1,vs:0,th:0;" "1:REFERENCE:rfl:1,rfp:1,rfl1:1,rfp1:1;" "1:PHASE:rp:1,lp:1,rp1:1,lp1:1;" "2:PLOT SIZE:sc:1,wc:1,sc2:1,wc2:1;" "2:PLOT OFFSETS:vp:1,vo:2,ho:2;" "2:AXIS DISPLAY:axis,trace;" "2:CURSORS:cr:1,delta:1,cr1:1,delta1:1;" "3:INTEGRAL:intmod,is:0,ins:3,io:0,,lvl:3,tlt:3;" "3:GRAY SCALE:graysl:3,grayctr:0;" "3:FLAGS:aig*,dcg*,dmg*;" "4:FID DISPLAY:sf:3,wf:3,vf:0,vpf:0,vpfi:0,lsfid:0,phfid:1,dotflag;" "4:FID CURSORS:crf:3,deltaf:3;" 0 dg 2 2 0 0 0 4 1 6 1 64 9 "1:NUCLEUS:tn,sfrq:3,resto:1,;" "1:ACQUISITION:sw:1,at:3,np:0,nv:0,nt:0,dp,;" "1:DELAYS:tr:4,te:4;" "2:RF PULSES:rfcoil,,p1:1,p1pat,tpwr1:0,;" "2:RF SPOILING:rfspoil,rfphase:0;" "3:GRADIENTS:gcoil,,gro:3,gpe:3,gss:3,,gspoil:3,rewind,;" "3:FLOW PARAMETERS:dorient,pc,gflow:2,tflow:4;" "4:FIELD OF VIEW:orient,lro:2,lpe:2,pro:2,;" "4:SLICE SELECTION:ns:0,thk:2,pss:2;" 0 dg2 2 2 8 0 0 4 1 4 1 64 6 "1:1st DECOUPLING:dfrq:3,dn,dpwr:0,dof:1,dm,dmm,dmf:0,dseq,dres:1,homo;" "2(numrfch>2):2nd DECOUPLING:dfrq2:3,dn2,dpwr2:0,dof2:1,dm2,dmm2,dmf2:0,dseq2,dres2:1,homo2;" "2(numrfch>3):3rd DECOUPLING:dfrq3:3,dn3,dpwr3:0,dof3:1,dseq3,dres3:1,homo3;" "3(ni2):3D ACQUISITION:d3:3,sw2:1,ni2:0,phase2:0;" "3(ni2):3D DISPLAY:rp2:1,lp2:1;" "4(ni2):3D PROCESSING:lb2:3,sb2:3,sbs2(sb2):3,gf2:3,gfs2(gf2):3,awc2:3,wtfile2,proc2,fn2:0;" 0 execprep 2 2 8 0 0 4 1 0 1 64 1 "im1D('prep')" 0 dorient 2 2 8 0 0 2 1 8 1 64 1 "orient" 8 "trans" "cor" "sag" "x" "y" "z" "orient" "oblique" dmg_default 4 2 4 0 0 3 1 0 1 64 1 "y" 0 dimY 2 2 8 0 0 2 1 0 1 64 1 "lro" 0 dgs 2 2 1023 0 0 4 1 6 1 64 4 "1:AXIAL SHIMS:z1:0,z2:0,z3:0;" "2:NON AXIAL SHIMS:x1:0,y1:0,xz:0,yz:0,xy:0,x2y2:0,x3:0,y3:0,xz2:0,yz2:0,zxy:0,zx2y2:0;" "4:AUTOMATION:method,wshim,load,,spin:0,gain:0,alock,loc:0;" "4:SPECIAL:temp;" 0 dgproc 2 2 0 0 0 4 1 6 1 64 7 "1(zero):label Processing :zero;" "1:1D FFT:np:0,fn:0,;" "1:2D FFT:nv:0,ni:0,nf:0,fn1:0,;" "1:CURRENT IMAGE:slice:0,echo:0,element:0;" "2:1D APODIZATION:lb:3,gf:3,gfs:3,sb:3,sbs:3,awc:3;" "3:2D APODIZATION:lb1:3,gf1:3,gfs1:3,sb1:3,sbs1:3,awc2:3;" "4:ACQ PROCESSING:werr,wexp,wnt,wbs;" 0 dggrad 2 2 0 0 0 4 1 6 1 64 9 "1(zero):label Gradients :zero;" "1:COIL CALIBRATION:gcoil,gmax:3,trise:5,gradunit,boresize:1,B0:0,;" "1:VOXEL SELECTION:gvox1:3,gvox2:3,gvox3:3;" "2:READ OUT:gro:3,gror:3,;" "2:PHASE ENCODE:gpe:3,gpe2:3,gpe3:3,gpemult:3,;" "2:SLICE SELECT:gss:3,gss2:3,gss3:3,gssr:3;" "3:GENERAL:g0:3,g1:3,g2:3,g3:3,g4:3,g5:3,,gx:3,gy:3,gz:3;" "4:SPECIAL:gdiff:3,gflow:3,gspoil:3,gcrush:3,gtrim:3;" "4:PATTERNS:gropat,gpepat,gsspat;" 0 dgfov 2 2 0 0 0 4 1 6 1 64 9 "1(zero):label FOV :zero;" "1:FIELD OF VIEW:lro:3,lpe:3,lpe2:3,lpe3:3,fovunit,;" "1:FOV POSITION:pro:3,ppe:3,pss:3,;" "1:FOV BANDWIDTHS:sw:1,sw1:1,sw2:1,sw3:1;" "2:FOV ORIENTATION:orient,psi:1,phi:1,theta:1,;" "2:VOXEL ORIENTATION:vorient,vpsi:1,vphi:1,vtheta:1;" "3:VOXEL POSITION:pos1:3,pos2:3,pos3:3,;" "3:VOXEL SIZE:vox1:3,vox2:3,vox3:3,thkunit,;" "4:ACQ CONTROL:seqcon,nD:0,ni:0,nf:0,cf:0,,ne:0,ns:0,,np:0,nv:0,nv2:0,nv3:0;" 0 dgpulse 2 2 0 0 0 4 1 6 1 64 10 "1(zero):label Pulses :zero;" "1:OBS PULSE LENGTHS:p1:1,p2:1,p3:1,p4:1,p5:1,pw:1,pi:1,psat:1;" "1:OBS PULSE PATTERNS:p1pat,p2pat,p3pat,p4pat,p5pat,pwpat,pipat,satpat;" "2:OBS PULSE POWERS:tpwr1:0,tpwr2:0,tpwr3:0,tpwr4:0,tpwr5:0,tpwr,tpwri,satpwr:0;" "2:OBS FREQUENCIES:tn,sfrq:3,tof:1,resto:1,wsfrq:1,satfrq:1;" "2:OBS CALIBRATION:rfcoil;" "3:DEC FREQUENCIES:dn,dfrq:3,dof:1;" "3:DEC CONTROL:dm,dmm,dmf;" "3:DEC POWERS:dpwr1:0,dpwr2:0,dpwr3:0,dpwr4:0,dpwr5:0,dpwr:0;" "4:DEC PULSE PATTERNS:decpat,decpat1,decpat2,decpat3,decpat4,decpat5;" 0 dgtarg 2 2 0 0 0 4 1 6 1 64 9 "1(zero):label Target :zero;" "1:VOXEL POSITION:t_pos1:3,t_pos2:3,t_pos3:3,;" "1:VOXEL SIZE:t_vox1:3,t_vox2:3,t_vox3:3,;" "1:VOXEL ORIENT:t_vpsi:1,t_vphi:1,t_vtheta:1,;" "1:ARRAY STRING:t_array;" "2:FIELD OF VIEW:t_lro:3,t_lpe:3,t_lpe2:3,t_lpe3:3,;" "2:FOV POSITION:t_pro:3,t_ppe:3,t_ppe2:3,t_ppe3:3,;" "2:ORIENTATION:t_psi:1,t_phi:1,t_theta:1;" "3:SLICE POSITION:t_ns:0,t_thk:1,t_gap:1,,[t_pss];" 0 dgseq 2 2 0 0 0 4 1 6 1 64 10 "1(zero):label Sequence :zero;" "1:SEQUENCE NAME:seqfil,pslabel,;" "1:SEQUENCE TYPE:nD:0,exptype,;" "1:LOOP CONTROL:seqcon,acqtype;" "2:ACTIVE PULSES:[plist],;" "2:ACTIVE PATTERNS:[patlist];" "3:ACTIVE POWERS:[pwrlist],;" "3:ACTIVE POWERFS:[pwrflist],;" "3:FLIP ANGLES:[fliplist];" "4:CONJUGATE GRAD:[sslist];" 0 dgslice 2 2 0 0 0 4 1 6 1 64 5 "1(zero):label Slice&Voxel :zero;" "1:SLICE PARAMETERS:ns:0,ne:0,thk:3,pro:3,ppe:3;" "2:SLICE POSITION:[pss];" "3:VOXEL SIZE:[vox1],,[vox2],,[vox3];" "4:VOXEL POSITION:[pos1],,[pos2],,[pos3];" 0 diff 4 2 4 0 0 2 1 0 1 64 1 "n" 0 dhp 1 1 9 9 9 2 1 8192 0 64 1 20 0 dimX 2 2 8 0 0 2 1 0 1 64 1 "lpe" 0 dm3 4 2 4 0 0 2 1 0 1 64 1 "n" 4 "a" "n" "s" "y" displaymode 4 2 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 "ir" 0 disCmd 2 2 8 0 0 4 1 0 1 64 1 "clear" 0 dimZ 2 2 8 0 0 2 1 0 1 64 1 "lpe2" 0 dm 4 2 4 0 0 2 1 0 1 64 1 "nnn" 4 "a" "n" "s" "y" dlp 7 1 10 10 10 2 1 8194 0 64 1 20 0 dm2 4 2 4 0 0 2 1 0 1 64 1 "n" 4 "a" "n" "s" "y" dmf3 7 1 2000000 1 0 2 1 2 1 64 1 200 0 dmf 7 1 11 11 11 2 1 8194 1 64 1 200 0 dm4 4 2 4 0 0 2 1 0 1 64 1 "n" 4 "a" "n" "s" "y" dmf2 7 1 2000000 1 0 2 1 2 1 64 1 200 0 dmg 2 2 2 0 0 4 1 3 1 64 1 "ph" 0 dmf4 7 1 2000000 1 0 2 1 2 1 64 1 200 0 dmg1 2 2 8 0 0 4 1 0 1 64 1 "av1" 4 "" "av1" "ph1" "pwr1" dn4 2 2 8 0 0 2 1 8 1 64 1 "" 0 dmm4 4 2 4 0 0 2 1 0 1 64 1 "c" 5 "c" "f" "n" "p" "w" dmm2 4 2 4 0 0 2 1 0 1 64 1 "c" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dmm 4 2 4 0 0 2 1 0 1 64 1 "c" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dmm3 4 2 4 0 0 2 1 0 1 64 1 "c" 9 "c" "f" "g" "m" "p" "r" "u" "w" "x" dn2 2 2 8 0 0 2 1 8 1 64 1 "" 0 dn 2 2 4 0 0 2 1 8 1 64 1 "C13" 0 dn3 2 2 8 0 0 2 1 8 1 64 1 "" 0 dof3 5 1 20 20 20 2 1 8202 1 64 1 0 0 dof 5 1 8 8 8 2 1 8202 1 64 1 -500 0 dod 3 1 14 14 14 2 1 8194 1 64 1 0.00999999977648 0 dof2 5 1 16 16 16 2 1 8202 1 64 1 0 0 dof4 5 1 22 22 22 2 1 8202 1 64 1 0 0 dres4 7 1 360 1 0 2 1 0 1 64 1 1 0 dreffrq2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 dpwr 1 1 9 9 9 2 1 8192 1 64 1 30 0 dpf_wc2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 142 0 dotflag 2 2 8 0 0 4 1 0 1 64 1 "n" 2 "n" "y" dp 2 2 1 0 0 2 1 0 1 64 1 "y" 2 "y" "n" dphi 1 1 1000000 -1000000 0 2 1 8 1 64 1 0 0 dpsi 1 1 1000000 -1000000 0 2 1 8 1 64 1 0 0 dpwr3 1 1 9 9 9 2 1 8192 1 64 1 0 0 dpwr1 1 1 9 9 9 2 1 8192 1 64 1 0 0 dpwr2 1 1 9 9 9 2 1 8192 1 64 1 0 0 dpwr5 1 1 9 9 9 2 1 8192 1 64 1 0 0 dpwr4 1 1 9 9 9 2 1 8192 1 64 1 0 0 dreffrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 150.829577577 0 dres2 7 1 360 1 0 2 1 0 1 64 1 1 0 dreffrq4 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 dreffrq3 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 dres 7 1 360 1 0 2 1 0 1 64 1 1 0 dres3 7 1 360 1 0 2 1 0 1 64 1 1 0 dtheta 1 1 1000000 -1000000 0 2 1 8 1 64 1 0 0 dss_sc2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 dseq2 2 2 8 0 0 2 1 0 1 64 1 "" 0 dsSelect 7 1 32767 0 0 4 1 0 1 64 1 1 0 dseq 2 2 8 0 0 2 1 0 1 64 1 "" 0 dseq4 2 2 8 0 0 2 1 0 1 64 1 "" 0 dseq3 2 2 8 0 0 2 1 0 1 64 1 "" 0 dss_sc 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 dss_wc2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 155 0 dss_wc 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 250 0 dtag 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.12 0 echofrac 1 1 1 0.5 0 2 1 0 1 64 1 1 0 echo 7 1 32767 0 0 3 1 0 1 64 1 1 0 ec 7 1 32767 0 0 3 1 0 1 64 1 1 0 dutyc 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.1 0 echo_frac 1 1 1 0.5 0.01 2 2 8192 1 64 1 1 0 endvol 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 element 7 1 32767 0 0 3 1 0 1 64 1 1 0 editText 7 1 32767 0 0 3 1 0 1 64 1 0 0 endti 3 1 14 14 14 2 1 8192 1 64 1 1.5 0 execplot 2 2 8 0 0 4 1 0 1 64 1 "" 0 eqnoise 2 2 8 0 0 2 1 0 1 64 1 "n" 0 fn 7 1 524288 64 -2 3 1 1 1 64 1 16384 0 fidscanmode 4 2 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 "fas" 0 faend 3 1 14 14 14 2 1 8192 1 64 1 0 0 expargs 2 2 8 0 0 2 1 0 1 64 1 "" 0 execprocess 2 2 8 0 0 4 1 0 1 64 1 "im1D('proc')" 0 execprescan 2 2 8 0 0 4 1 0 1 64 1 "im1D('prescan')" 0 execsetup 2 2 8 0 0 4 1 0 1 64 1 "im1D('prep')" 0 exptype 2 2 0 0 0 2 1 1 1 64 1 "" 0 exppath 2 2 8 0 0 2 1 0 1 64 1 "/vnmr/acqqueue/acq/exp1.marina.grase.radiology.ucsf.edu_1599781408_844581" 0 f1coef 2 2 8 0 0 3 1 1 1 64 1 "" 0 fdfdir 2 2 8 0 0 3 1 0 1 64 1 "" 0 fb 7 1 6 6 6 2 1 8203 1 64 1 24000 0 fastart 3 1 14 14 14 2 1 8192 1 64 1 0 0 fc 4 2 0 0 0 2 1 0 1 64 1 "n" 0 fid_no 7 1 32767 0 0 3 1 0 1 64 1 4 0 fdffile 2 2 8 0 0 3 1 0 1 64 1 "" 0 fidid 2 2 8 0 0 2 1 0 1 64 1 "" 0 flipfsat 3 1 1 0 100000 2 1 8192 1 64 1 90 0 flip1 3 1 1 0 100000 2 1 8192 1 64 1 90 0 filter 7 1 1000000000 0 1 2 1 2 1 64 1 54.0999984741 0 file 2 2 6 0 0 2 1 3 1 64 1 "press_brain_OVS-1HMRS_Metab_2x2x2_02" 0 fliparray 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 1 64 15 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 1.3 1.4 1.5 1.6 0 flip2 3 1 1 0 100000 2 1 8192 1 64 1 180 0 flipmult 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 fliplist 1 1 1000000 -1000000 0 3 1 0 1 64 5 20 1200 90 90 180 0 flipir 3 1 1 0 100000 2 1 8192 1 64 1 180 0 flipmt 3 1 1 0 100000 2 1 8192 1 64 1 900 0 flipsat 3 1 1 0 100000 2 1 8192 1 64 1 90 0 flipovs 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 160 0 flipws 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 90 0 gain 1 1 60 0 2 2 1 0 1 64 1 50 0 fsatpat 2 2 0 0 0 2 1 0 1 64 1 "sinc" 0 fovunit 2 2 0 0 0 2 1 8 1 64 1 "" 0 fovcon 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 fn1 7 1 524288 64 -2 3 1 1 0 64 1 128 0 fovtitle 2 2 0 0 0 4 1 3 1 64 1 "" 0 fpmult1 1 1 1000000000 0 0 3 2 0 0 64 1 0.5 0 fpmult 1 1 1000000000 0 0 3 1 0 0 64 1 1 0 fsatfrq 5 1 8 8 8 2 1 8192 1 64 1 -220 0 g2 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 g0 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 ftproc 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 256 1 64 2 1 4 0 g1 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 g4 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 g3 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 g5 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gcrushovs 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 8 0 gcrushfs 1 1 1000 -1000 0 2 1 0 1 64 1 15 0 gcoil 2 2 8 0 0 2 1 9 1 64 1 "88_55_HD_S" 0 gap 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 gcrush 1 1 1000 -1000 0 2 1 0 1 64 1 4 0 gcrushmt 1 1 1000 -1000 0 2 1 0 1 64 1 1 0 gcrushir 1 1 1000 -1000 0 2 1 0 1 64 1 10 0 gcrushmtc 1 1 1000 -1000 0 2 1 0 1 64 1 1 0 gender 2 2 8 0 0 3 1 0 1 64 1 "" 0 gcrushws 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 10 0 gcrushsat 1 1 1000 -1000 0 2 1 0 1 64 1 0.5 0 gdiff 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gf1 1 1 10000 -10000 1e-06 3 1 1 0 64 1 0.061685 0 gf 1 1 1000 0 1e-05 3 1 1 1 64 1 0.51118 0 gf2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 preacq 6 1 13 13 13 2 1 8192 0 64 1 0 0 mtpwrf 7 1 0 4095 1 2 1 8192 1 64 1 3883 0 il 2 2 1 0 0 2 1 0 1 64 1 "n" 2 "y" "n" gx 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gradunit 2 2 0 0 0 2 1 8 1 64 1 "" 0 gpat2 2 2 0 0 0 2 1 0 1 64 1 "" 0 glim 1 1 100 10 1e-06 2 1 0 1 64 1 100 0 gfs1 1 1 10000 -10000 1e-06 3 1 1 0 64 1 0.051268 0 gfs 1 1 1000 -1000 1e-05 3 1 1 1 64 1 0 0 gflow 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gfs3 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 gfs2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 go_id 2 2 8 0 0 2 1 7 1 64 1 "exp1.marina.grase.radiology.ucsf.edu_1599781408_844581" 0 go_Options 2 2 8 0 0 2 1 7 1 64 4 "au" "sync" "vp" "noop" 0 gmax 1 1 1000 -1000 0 2 1 0 1 64 1 100 0 gpat1 2 2 0 0 0 2 1 0 1 64 1 "" 0 gpat 2 2 0 0 0 2 1 0 1 64 1 "" 0 gpemult 1 1 1 0 0 2 1 0 1 64 1 0 0 gpe2 1 1 1000 -1000 0 2 1 0 1 64 1 0.174753 0 gpe 1 1 1000 -1000 0 2 1 0 1 64 1 22.368397 0 gpat3 2 2 0 0 0 2 1 0 1 64 1 "" 0 gpe3 1 1 1000 -1000 0 2 1 0 1 64 1 16.939195 0 gpropdelay 6 1 13 13 13 2 1 8192 1 64 1 5 0 gpepat 2 2 0 0 0 2 1 0 1 64 1 "" 0 gper 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 gradstepsz 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 32767 0 gradcon 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gradtitle 2 2 0 0 0 4 1 3 1 64 1 "" 0 gss 1 1 1000 -1000 0 2 1 0 1 64 1 3.49138511226 0 gropat 2 2 0 0 0 2 1 0 1 64 1 "" 0 gro 1 1 1000 -1000 0 2 1 0 1 64 1 2.3486816367 0 graysl 1 1 100 -100 0.01 4 1 3 1 64 1 1 0 grayctr 1 1 64 0 1 4 1 3 1 64 1 38 0 grof 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 gspoil 1 1 1000 -1000 0 2 1 0 1 64 1 2 0 gror 1 1 1000 -1000 0 2 1 0 1 64 1 53.768033184 0 gsat 1 1 1000 -1000 0 2 1 256 1 64 1 1.74845875063 0 gspoilws 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 gtrim 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gsspat 2 2 0 0 0 2 1 0 1 64 1 "" 0 gssf 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 gss2 1 1 1000 -1000 0 2 1 0 1 64 1 1.04038589922 0 gssi 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 gssr 1 1 1000 -1000 0 2 1 0 1 64 1 3.760912 0 gtagcrush 1 1 1000 -1000 0 2 1 0 1 64 1 15 0 gvox2 1 1 1000 -1000 0 2 1 0 1 64 1 6.5763085829 0 gvox1r 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 44.6920562878 0 gvox1 1 1 1000 -1000 0 2 1 0 1 64 1 17.3802441119 0 gvox3r 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3.987111 0 gvox3 1 1 1000 -1000 0 2 1 0 1 64 1 6.5763085829 0 hdpwrf 1 1 4095 0 0 2 1 0 1 64 1 4095 0 gzdelay 6 1 13 13 13 2 1 8192 1 64 1 0 0 gydelay 6 1 13 13 13 2 1 8192 1 64 1 0 0 gxmax 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 100 0 gxdelay 6 1 13 13 13 2 1 8192 1 64 1 0 0 gy 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gz 1 1 1000 -1000 0 2 1 0 1 64 1 0 0 gymax 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 100 0 hdmf 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 600 0 hdfrqc 5 1 1000000000 -1000000000 0 2 1 256 0 64 1 0 0 gzmax 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 100 0 hdfrqw 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 0 64 1 10 0 hdpsmode 4 2 4 0 0 2 1 0 1 64 1 "n" 0 hdof 5 1 7 7 7 2 1 8194 1 64 1 0 0 hdpwr 7 1 49 -16 1 2 1 2 1 64 1 1 0 homo2 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" height 1 1 9.9e+17 0 0.001 3 1 0 1 64 1 0 0 hdseq 2 2 8 0 0 2 1 0 1 64 1 "" 0 hdres 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 9 0 hdwave 2 2 8 0 0 2 1 0 1 64 1 "SEDUCE1" 0 hold 3 1 14 14 14 2 1 8192 1 64 1 0 0 ho 1 1 500 -500 0 4 1 1 1 64 1 -16.7380952381 0 homo 2 2 8 0 0 2 1 8 1 64 1 "n" 2 "n" "y" hst 3 1 0.02 0 2.5e-08 2 1 2 1 64 1 0.00800000037998 0 homo4 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" homo3 4 2 4 0 0 2 1 0 1 64 1 "n" 2 "n" "y" hs 4 2 4 0 0 2 1 0 1 64 1 "nn" 2 "n" "y" ident 2 2 8 0 0 3 1 0 1 64 1 "" 0 hzmm 1 1 1000000000 -1000000000 0 4 1 11 1 64 1 39.9951171875 0 load 2 2 4 0 0 2 1 3 1 64 1 "n" 2 "y" "n" is 1 1 1000000000 1e-06 0 4 1 3 1 64 1 74985.3128572 0 ins2ref 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 0 64 1 1 0 imRE 2 2 8 0 0 2 1 0 1 64 1 "n" 0 imMG 2 2 8 0 0 2 1 0 1 64 1 "n" 0 imIR 2 2 8 0 0 2 1 0 1 64 1 "n" 0 imIM 2 2 8 0 0 2 1 0 1 64 1 "n" 0 imPH 2 2 8 0 0 2 1 0 1 64 1 "n" 0 ins 3 1 8190 0 1e-07 4 1 3 1 64 1 1 0 imprep 4 2 4 0 0 2 1 0 1 64 1 "n" 0 imRF 2 2 8 0 0 2 1 0 1 64 1 "n" 0 in 4 2 1 0 0 2 1 0 1 64 1 "n" 3 "n" "w" "y" ins2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 iplanDefaultThk 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 10 0 io 1 1 500 -500 0.1 4 1 1 1 64 1 20 0 intmod 2 2 8 0 0 4 1 0 1 64 1 "off" 3 "off" "partial" "full" insref 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 investigator 2 2 8 0 0 2 1 0 1 64 1 "" 0 iplanDefaultNs 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 5 0 iplanDefaultSize 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 5 0 iplanType 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3 0 iplanDefaultVoxSize 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 10 0 iplanDefaultType 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3 0 iplanMarking 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 irpat 2 2 0 0 0 2 1 0 1 64 1 "" 0 irfrq 5 1 8 8 8 2 1 8192 1 64 1 0 0 irpwr 1 1 17 17 17 2 1 8192 1 64 1 0 0 liamp 1 1 1000000000 0 0 4 1 0 0 64 1 0 0 lb1 1 1 10000 -10000 1e-06 3 1 1 0 64 1 0.318309992552 0 layout 2 2 8 0 0 4 1 0 1 64 1 "press" 0 lana 2 2 8 0 0 2 1 0 1 64 1 "H1offset" 0 lb 1 1 10000 -10000 1e-06 3 1 1 1 64 1 10 0 lb3 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 lb2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 lcpeak_ 7 1 32767 0 0 2 1 32768 1 64 1 0 0 llfrq 1 1 1000000000 -1000000000 0 4 1 0 0 64 1 0 0 llamp 1 1 1000000000 0 0 4 1 0 0 64 1 0 0 lifrq 1 1 1000000000 -1000000000 0 4 1 0 0 64 1 0 0 lllabel 2 2 8 0 0 3 1 0 1 64 1 "" 0 minte 4 2 0 0 0 2 1 0 1 64 1 "n" 0 lss 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 lpe 1 1 1000000 -1000000 0 2 1 0 1 64 1 4 0 lockphase_ 1 1 360 0 1 2 1 65552 1 64 1 1 0 lockfreq_ 5 1 160 0 1e-07 2 1 9 1 64 1 92.0789 0 loc_ 7 1 12 12 12 2 1 8201 0 64 1 0 0 lockgain_ 1 1 48 0 1 2 1 65552 1 64 1 36 0 lp 1 1 1000000 -1000000 0 3 1 3 1 64 1 0 0 lockpower_ 1 1 68 0 1 2 1 65552 1 64 1 31 0 lp1 1 1 1000000 -1000000 0 3 1 3 1 64 1 0 0 lsfid 7 1 64000 -64000 1 3 1 0 1 64 1 0 0 lpe3 1 1 1000000 -1000000 0 2 1 0 1 64 1 8 0 lpe2 1 1 1000000 -1000000 0 2 1 0 1 64 1 4 0 lro 1 1 1000000 -1000000 0 2 1 0 1 64 1 4 0 lsfrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 lsfid1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 0 64 1 0 0 lsfrq1 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 0 64 1 0 0 mcoil 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 macro 2 2 6 0 0 3 1 3 1 64 1 "" 0 lvl 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 0.224395707917 0 math 2 2 1 0 0 3 1 3 1 64 1 "i" 3 "d" "f" "i" mfslp 4 2 4 0 0 2 1 0 1 64 1 "n" 0 method 2 2 6 0 0 2 1 3 1 64 1 "z1z2" 0 mpro 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 1 64 1 0 0 moreRF 4 2 4 0 0 2 1 0 1 64 1 "n" 0 mlpe2 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 mintr 4 2 0 0 0 2 1 0 1 64 1 "n" 0 mintm 4 2 4 0 0 2 1 0 1 64 1 "n" 0 mlpe 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 modules 2 2 8 0 0 2 1 1 1 64 1 "" 0 mlro 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 mppe 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 1 64 1 0 0 mphi 2 2 8 0 0 4 1 0 1 64 1 "" 0 mtcfrq 5 1 8 8 8 2 1 8192 1 64 1 2000 0 mrgain 1 1 60 0 2 2 1 256 0 64 1 0 0 mpss 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 1 64 1 0 0 mpsi 2 2 8 0 0 4 1 0 1 64 1 "" 0 mrfb 1 1 256000 1000 1000 2 1 256 0 64 1 0 0 mstack 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 mtpat 2 2 0 0 0 2 1 0 1 64 1 "sinc" 0 mtheta 2 2 8 0 0 4 1 0 1 64 1 "" 0 mtfrq 5 1 8 8 8 2 1 8192 1 64 1 2000 0 mthk 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 mtpwr 1 1 17 17 17 2 1 8192 1 64 1 58 0 ovstpwr 7 1 63 -16 1 2 1 0 1 64 1 54 0 niiIM 2 2 8 0 0 2 1 0 1 64 1 "n" 0 nifti 2 2 8 0 0 2 1 0 1 64 1 "n" 0 ne 1 1 1000000 0 1 2 1 9 1 64 1 1 0 nD 1 1 1000000 0 1 2 1 9 1 64 1 1 0 n2 2 2 0 0 0 3 1 0 1 64 1 "" 0 n1 2 2 0 0 0 3 1 0 1 64 1 "r1=r1+1 r1? au" 0 n3 2 2 0 0 0 3 1 0 1 64 1 "false" 0 name 2 2 8 0 0 3 1 0 1 64 1 "" 0 nDim 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3 0 nblocks 7 1 32767 0 0 2 1 0 1 64 1 1 0 ni2 7 1 32767 0 0 2 1 0 1 64 1 1 0 nfmod 7 1 32767 0 0 2 1 0 1 64 1 1 0 nf 1 1 1000000 0 1 2 1 1 1 64 1 1 0 ni 1 1 1000000 0 1 2 1 1 1 64 1 1 0 ni3 7 1 32767 0 0 2 1 0 1 64 1 1 0 niftifiles 7 1 32767 0 0 2 1 0 1 64 1 1 0 nifticyclebak 7 1 32767 0 0 2 1 0 1 64 1 1 0 niftiauto 2 2 8 0 0 2 1 0 1 64 1 "y" 0 nifticycle 7 1 32767 0 0 2 1 0 1 64 1 1 0 niftifile 2 2 8 0 0 2 1 0 1 64 1 "" 0 niftitoffset 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 niftit 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 niftipage 2 2 8 0 0 2 1 0 1 64 1 "n" 0 niftitime 2 2 8 0 0 2 1 0 1 64 1 "n" 0 niftivols 7 1 32767 0 0 2 1 0 1 64 1 1 0 niftitslice 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 nv2 1 1 1000000 0 1 2 1 9 1 64 1 0 0 notebook 2 2 8 0 0 2 1 0 1 64 1 "" 0 niiRE 2 2 8 0 0 2 1 0 1 64 1 "n" 0 niiMG 2 2 8 0 0 2 1 0 1 64 1 "n" 0 niiIR 2 2 8 0 0 2 1 0 1 64 1 "n" 0 niiPH 2 2 8 0 0 2 1 0 1 64 1 "n" 0 no_skip 7 1 32767 0 0 3 1 0 1 64 1 6 0 no_points 7 1 32767 0 0 3 1 0 1 64 1 100 0 noph 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 64 0 nsat 1 1 1000000 0 1 2 1 1 1 64 1 0 0 ns 1 1 1000000 0 1 2 1 9 1 64 1 1 0 np 7 1 10000000 32 2 2 1 11 1 64 1 20000 0 ntopt 7 1 32767 0 0 2 1 0 1 64 1 0 0 nt 7 1 1000000000 1 1 2 1 2 1 64 1 512 0 nv 1 1 1000000 0 1 2 1 9 1 64 1 0 0 ovsflipf 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 operator_ 2 2 128 0 0 2 1 32772 1 64 1 "marina" 0 nv_1 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 nv3 1 1 1000000 0 1 2 1 9 1 64 1 0 0 nv_2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 orient2 2 2 0 0 0 2 1 0 1 64 1 "" 0 orient 2 2 0 0 0 2 1 8 1 64 1 "sag" 14 "trans" "sag" "cor" "oblique" "3orthogonal" "xyz" "xzy" "yxz" "yzx" "zxy" "zyx" "sag90" "cor90" "trans90" ovs 2 2 8 0 0 2 1 0 1 64 1 "y" 0 ovsthk 1 1 1000000 0 0 2 1 0 1 64 1 10 0 ovspat 2 2 8 0 0 2 1 0 1 64 1 "sechovs" 0 ovsgap 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.1 0 ovstest 7 1 1 0 0 2 1 0 1 64 1 1 0 ovsthkr 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 30 0 ovsthkp 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 30 0 ovsthks 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 30 0 phfid1 1 1 3600 -3600 0.1 3 1 0 0 64 1 0 0 p5pat 2 2 0 0 0 2 1 0 1 64 1 "" 0 p2pat 2 2 0 0 0 2 1 0 1 64 1 "sinc" 0 p1pat 2 2 0 0 0 2 1 0 1 64 1 "sinc" 0 p1 6 1 13 13 13 2 1 8192 1 64 1 400 0 ovstpwrf 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3807 0 p11console 2 2 8 0 0 2 1 0 1 64 1 "" 0 p2pars 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 1 64 6 0.4 939.6 7 1 3.705902 4238.633892 0 p2 6 1 13 13 13 2 1 8192 1 64 1 800 0 p4pat 2 2 0 0 0 2 1 0 1 64 1 "" 0 p3pat 2 2 0 0 0 2 1 0 1 64 1 "" 0 p3 6 1 13 13 13 2 1 8192 1 64 1 0 0 p4 6 1 13 13 13 2 1 8192 1 64 1 0 0 p5 6 1 13 13 13 2 1 8192 1 64 1 0 0 patlist 2 2 0 0 0 3 1 0 1 64 5 "p1pat" "mtpat" "satpat" "fsatpat" "pipat" 0 parstyle_ 2 2 8 0 0 4 1 0 1 64 1 "ppa" 0 page 2 2 8 0 0 2 1 0 1 64 1 "" 0 pad 3 1 14 14 14 2 1 8194 1 64 1 0 0 paramChanged 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 parver 2 2 8 0 0 2 1 0 1 64 1 "VnmrJ VERSION 4.2 REVISION A" 0 parversion 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 4 1 64 1 5.1 0 petable 2 2 0 0 0 2 1 1 1 64 1 "" 0 pcflag 4 2 4 0 0 2 1 0 1 64 1 "y" 0 pc 4 2 4 0 0 2 1 0 1 64 1 "n" 0 perewind 2 2 8 0 0 2 1 0 1 64 1 "y" 0 phase 7 1 1000000 -1000000 0 2 1 0 1 64 10 0 5 10 15 20 25 30 35 40 45 0 pfsat 6 1 20000 0 100 2 1 0 1 64 1 15000 0 phfid 1 1 3600 -3600 0.1 3 1 0 0 64 1 0 0 pos1 1 1 1000000 -1000000 0 2 1 0 1 64 1 -0.022 0 planSs 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 1 0 pilot 4 2 0 0 0 2 1 0 1 64 1 "y" 0 phi2 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 phi 1 1 1000000 -1000000 0 2 1 8 1 64 1 0 0 pi 6 1 13 13 13 2 1 8192 1 64 1 2000 0 pir 6 1 13 13 13 2 1 8192 1 64 1 0 0 pipat 2 2 0 0 0 2 1 0 1 64 1 "sinc" 0 pkpick_ 2 2 8 0 0 4 1 0 1 64 1 "" 0 pltmod 2 2 8 0 0 4 1 0 1 64 1 "off" 5 "off" "fixed" "full" "variable" "user" plist 2 2 0 0 0 3 1 0 1 64 5 "p1" "pmt" "psat" "pfsat" "pi" 0 planValue 2 2 8 0 0 2 1 0 1 64 1 "shimvoxel" 0 plotoption 2 2 8 0 0 4 1 0 1 64 1 "" 0 pmt 6 1 20000 0 0 2 1 0 1 64 1 10000 0 pmode 2 2 8 0 0 3 1 0 1 64 1 "partial" 0 pmtc 6 1 20000 0 0 2 1 0 1 64 1 10000 0 povs 6 1 13 13 13 2 1 8192 1 64 1 5000 0 posY 2 2 8 0 0 2 1 0 1 64 1 "pro" 0 pos3 1 1 1000000 -1000000 0 2 1 0 1 64 1 -0.3 0 pos2 1 1 1000000 -1000000 0 2 1 0 1 64 1 0.044 0 posX 2 2 8 0 0 2 1 0 1 64 1 "ppe" 0 position1 2 2 8 0 0 3 1 0 1 64 1 "" 0 posZ 2 2 8 0 0 2 1 0 1 64 1 "pss0" 0 position2 2 2 8 0 0 3 1 0 1 64 1 "" 0 ppe3 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 ppe2 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 ppe 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 pprof 7 1 32767 0 0 2 1 0 1 64 1 0 0 spin 1 1 39 0 1 2 1 0 0 64 1 0 0 satpos 1 1 1000000 -1000000 0 2 1 256 1 64 1 0 0 ref1 5 1 1000000000 -1000000000 0 3 1 0 1 64 1 0 0 pw90 6 1 13 13 13 2 1 8194 1 64 1 19.9999866486 0 profile 4 2 0 0 0 2 1 0 1 64 1 "n" 0 probestyle_ 2 2 8 0 0 2 1 0 1 64 1 "" 0 presig 2 2 8 0 0 2 1 0 1 64 1 "l" 3 "h" "l" "n" prescan 2 2 8 0 0 3 1 0 1 64 10 "" "Complete" "Not done" "Not done" "" "off" "" "nn" "off" "" 0 prescribed 2 2 8 0 0 2 1 0 1 64 1 "y" 0 pro 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 priority 7 1 32768 0 1 2 1 0 1 64 1 5 0 probe_ 2 2 8 0 0 2 1 8 1 64 1 "" 0 proccmd 2 2 8 0 0 3 1 7 1 64 1 "wft" 0 proc1 2 2 10 0 0 3 2 1 1 64 1 "ft" 0 proc 2 2 10 0 0 3 1 1 1 64 1 "ft" 0 processid 1 1 9.99999984307e+17 -9.99999984307e+17 0 3 1 0 1 64 1 0 0 procdim 7 1 32767 0 0 3 1 0 1 64 1 1 0 prof_te2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.007296 0 psi 1 1 1000000 -1000000 0 2 1 8 1 64 1 90 0 profile_vox 4 2 4 0 0 2 1 0 1 64 1 "n" 0 profile_ovs 4 2 4 0 0 2 1 0 1 64 1 "n" 0 profile_combo 4 2 4 0 0 2 1 0 1 64 1 "n" 0 protocols 2 2 8 0 0 3 1 0 1 64 1 "" 0 protocol 2 2 8 0 0 3 1 0 1 64 1 "press_brain_OVS-1HMRS_Metab_2x2x2" 0 psat 6 1 13 13 13 2 1 8192 1 64 1 2000 0 pss 1 1 1000000 -1000000 0 2 1 8 1 64 1 0 0 pslabel 2 2 8 0 0 2 1 11 1 64 1 "press_brain_OVS-1HMRS_Metab_2x2x2" 0 psi2 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 ptag 6 1 20000 0 0 2 1 0 1 64 1 10000 0 pss0 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 pw 6 1 13 13 13 2 1 8192 1 64 1 100 0 rawIM 2 2 8 0 0 2 1 0 1 64 1 "n" 0 r3 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 0 0 pws 6 1 40000 0 0 2 1 0 0 64 1 26038.4615385 0 pwrflist 2 2 0 0 0 3 1 0 1 64 5 "tpwr1f" "mtpwrf" "satpwrf" "tpwrfsatf" "tpwrif" 0 pwpat 2 2 0 0 0 2 1 0 1 64 1 "" 0 pwrlist 2 2 0 0 0 3 1 0 1 64 5 "tpwr1" "mtpwr" "satpwr" "tpwrfsat" "tpwri" 0 r1 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 0 0 qrsdelay 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 r2 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 13 0 r7 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 0 0 r5 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 0 0 r4 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 0 0 r6 1 1 1e+17 -1e+17 0 3 1 0 1 64 1 0 0 radialShift 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 radialAngles 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 361 0 raw 2 2 8 0 0 2 1 0 1 64 1 "" 0 rcvrs 4 2 4 0 0 2 1 1 1 64 1 "y" 2 "n" "y" rawRE 2 2 8 0 0 2 1 0 1 64 1 "n" 0 rawMG 2 2 8 0 0 2 1 0 1 64 1 "n" 0 rawIR 2 2 8 0 0 2 1 0 1 64 1 "n" 0 rawPH 2 2 8 0 0 2 1 0 1 64 1 "n" 0 rcrush 3 1 14 14 14 2 1 8192 1 64 1 0 0 rc 7 1 32767 0 0 3 1 0 1 64 1 1 0 rcvrout 2 2 8 0 0 2 1 0 1 64 1 "" 0 recon_force 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 recon 2 2 8 0 0 2 1 0 1 64 1 "" 0 rcvrwt 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 1 64 1 1 0 ref 5 1 1000000000 -1000000000 0 3 1 0 1 64 1 0 0 rgror 3 1 14 14 14 2 1 8192 1 64 1 0 0 rfl 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 2007.60670199 0 refval 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4.75 0 refpos 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 381322.678003 0 reffrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 599.828834313 0 reffrq1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 399.415439188 0 refsource1 2 2 8 0 0 4 1 0 1 64 1 "sfrq" 0 refpos1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 refstd_ 2 2 8 0 0 2 1 65808 1 64 1 "" 0 rfchnuclei 2 2 8 0 0 2 1 256 1 64 1 "H1 C13 -" 0 restol 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 resto 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 -7082.1 0 rfband 4 2 4 0 0 2 1 0 1 64 1 "ccc" 3 "c" "h" "l" rfcoil 2 2 8 0 0 2 1 0 1 64 1 "H1_40mm" 0 rfp2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 rfloffset 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 rfl2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 rfl1 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 1307.24549871 0 rfladjust 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 rfp 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 2849.18696298 0 rfp1 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 0 0 rgpe 3 1 14 14 14 2 1 8192 1 64 1 0 0 rfspoil 4 2 0 0 0 2 1 0 1 64 1 "n" 0 rfphase 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 rgro 3 1 14 14 14 2 1 8192 1 64 1 0 0 rp 1 1 3600 -3600 0.1 4 1 3 1 64 1 117.943832397 0 rgvox3 3 1 14 14 14 2 1 8192 1 64 1 1.6e-05 0 rgssi 3 1 14 14 14 2 1 8192 1 64 1 0 0 rgss2 3 1 14 14 14 2 1 8192 1 64 1 0 0 rgss 3 1 14 14 14 2 1 8192 1 64 1 0 0 rgvox1r 3 1 14 14 14 2 1 8192 1 64 1 8.4e-05 0 rgvox1 3 1 14 14 14 2 1 8192 1 64 1 3.2e-05 0 rgvox2 3 1 14 14 14 2 1 8192 1 64 1 1.6e-05 0 rof3 6 1 13 13 13 2 1 8192 1 64 1 2 0 rof1 6 1 13 13 13 2 1 8194 1 64 1 16 0 rmsd 7 1 32767 0 0 3 1 0 1 64 1 200 0 rof2 6 1 13 13 13 2 1 8194 1 64 1 16 0 roffadjust 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 roff 5 1 1000000000 -1000000000 0 2 1 0 1 64 1 0 0 rollout 1 1 1 0 1 2 1 1 1 64 1 1 0 sar 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 256 1 64 2 0 0 0 s2n 7 1 32767 0 0 3 1 0 1 64 1 3 0 rprof 7 1 32767 0 0 2 1 0 1 64 1 1 0 rp1 1 1 3600 -3600 0.1 4 1 3 1 64 1 0 0 rrdelay 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.15 0 sample 2 2 8 0 0 2 1 1 1 64 1 "" 0 sa 7 1 10000000 0 0 3 1 1 0 64 1 1024 0 samplename 2 2 8 0 0 2 1 0 1 64 1 "" 0 satfrq 5 1 8 8 8 2 1 8192 1 64 1 0 0 sat 4 2 0 0 0 2 1 0 1 64 1 "n" 0 sas 7 1 10000000 0 0 3 1 1 0 64 1 0 0 satdly 3 1 14 14 14 2 1 8192 1 64 1 0 0 satorient 2 2 8 0 0 2 1 0 1 64 1 "trans" 0 satpat 2 2 0 0 0 2 1 0 1 64 1 "hs20" 0 shims 2 2 1023 0 0 2 1 0 1 64 1 "LastShimExit" 0 scantime 2 2 8 0 0 2 1 0 1 64 1 "34m:24s" 0 sb3 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 saveglobal_ 2 2 8 0 0 3 1 0 1 64 21 "probe" "lcpeak" "loc" "lockpower" "lockgain" "lockphase" "lockfreq" "z0" "lkof" "vloc" "vrack" "vzone" "vproto" "pkpick" "parstyle" "operator" "studyid" "systemname" "probetype" "refstd" "probestyle" 0 satpwrf 7 1 0 4095 1 2 1 8192 1 64 1 3784 0 satpwr 1 1 63 -16 1 2 1 0 1 64 1 59 0 satthk 1 1 1000000 -1000000 0 2 1 256 1 64 1 4 0 sb1 1 1 10000 -10000 0 3 1 1 0 64 1 0 0 sb 1 1 10000 -10000 0 3 1 1 0 64 1 1.022362 0 sb2 1 1 10000 -10000 0 3 1 1 1 64 1 0 0 sbs3 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 sbs1 1 1 10000 -10000 0 3 1 1 0 64 1 0 0 sbs 1 1 10000 -10000 0 3 1 1 0 64 1 -1.022362 0 sbs2 1 1 10000 -10000 1e-06 3 1 1 1 64 1 0 0 sc2 1 1 3 3 3 4 1 8195 1 64 1 0 0 sc 1 1 1 1 1 4 1 8195 1 64 1 0 0 scans 2 2 8 0 0 3 1 0 1 64 1 "" 0 sf 1 1 8190 0 1e-07 4 1 3 1 64 1 0 0 sdirtmplt 2 2 8 0 0 2 1 0 1 64 1 "" 0 scoutpath 2 2 8 0 0 2 1 0 1 64 1 "/home/marina/vnmrsys/studies/s_20200910_01/sems_mouse_axial_04.img" 0 scoutnodes 2 2 8 0 0 2 1 0 1 64 1 "" 0 scoutpaths 2 2 8 0 0 2 1 0 1 64 1 "/home/marina/vnmrsys/studies/s_20200910_01/sems_mouse_axial_04.img" 0 seqfil 2 2 8 0 0 2 1 11 1 64 1 "press" 0 seqcon 2 2 0 0 0 2 1 9 1 64 1 "nnnnn" 0 setf 4 2 4 0 0 2 1 0 1 64 1 "n" 0 sglarray 7 1 32767 0 0 2 1 0 1 64 1 0 0 sfrq 1 1 1000000000 0 0 2 1 11 1 64 1 599.8316835 0 sf1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0 0 sglabort 7 1 2 0 0 2 1 0 1 64 1 2 0 sglpower 7 1 32767 0 0 2 1 0 1 64 1 0 0 sgldisplay 7 1 32767 0 0 2 1 0 1 64 1 0 0 shimpanel 7 1 32767 0 0 2 1 0 1 64 1 1 0 slices 2 2 8 0 0 3 1 0 1 64 1 "single" 0 shownumstyle 2 2 8 0 0 2 1 0 1 64 1 "auto" 0 show_rf 7 1 32767 0 0 2 1 0 1 64 1 0 0 show_adv 7 1 32767 0 0 2 1 0 1 64 1 1 0 showOptions 7 1 32767 0 0 4 1 0 1 64 1 1 0 show_grad 7 1 32767 0 0 2 1 0 1 64 1 0 0 showcontent 2 2 8 0 0 2 1 0 1 64 1 "s" 0 showarray 2 2 8 0 0 2 1 0 1 64 1 "horiz" 0 shownumbers 2 2 8 0 0 2 1 0 1 64 1 "n" 0 slabctr 2 2 8 0 0 2 1 0 1 64 1 "y" 0 shownumy 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 shownumx 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 sl 7 1 32767 0 0 3 1 0 1 64 1 1 0 slice 1 1 1000000 0 1 3 1 9 1 64 1 1 0 slabix 7 1 32767 0 0 3 1 0 1 64 1 1 0 sliceorder 7 1 32767 0 0 4 1 0 1 64 1 0 0 species 2 2 8 0 0 2 1 0 1 64 1 "" 0 sp 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 -2149.5923339 0 solvent 2 2 6 0 0 2 1 11 1 64 1 "none" 0 sn 1 1 1e+18 -1e+18 0 3 1 1 1 64 1 0 0 sorient 2 2 0 0 0 2 1 0 1 64 1 "trans" 0 spec_flag 4 2 4 0 0 2 1 0 1 64 1 "" 0 sp1 1 1 1000000000 -1000000000 0 4 1 3 1 64 1 -1307.24549871 0 specgain 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 2 0 specws 4 2 4 0 0 2 1 0 1 64 1 "y" 0 specrfl 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 2007.60670199 0 specnp 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4096 0 specsw 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4006.41025641 0 sphi 1 1 1000000 -1000000 0 2 1 256 1 64 1 0 0 specwsrf 4 2 4 0 0 2 1 0 1 64 1 "n" 0 tpwrif 7 1 0 4095 1 2 1 8192 1 64 1 3666 0 theta 1 1 1000000 -1000000 0 2 1 8 1 64 1 90 0 tagdir 7 1 32767 0 0 2 1 0 1 64 1 0 0 t_lpe 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 startvol 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 spsi 1 1 1000000 -1000000 0 2 1 256 1 64 1 0 0 spostitle 2 2 0 0 0 4 1 3 1 64 1 "" 0 spoilflag 2 2 8 0 0 2 1 0 1 64 1 "n" 0 spinecho 4 2 4 0 0 2 1 0 1 64 1 "y" 0 sprof 7 1 32767 0 0 2 1 0 1 64 1 0 0 ssc 1 1 1000000 -1000000 1 2 1 1 1 64 1 0 0 ss 7 1 1000000000 -1000000000 1 2 1 2 1 64 1 4 0 sreffrq 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 599.838765597 0 ss_o 7 1 32767 0 0 2 1 0 1 64 1 0 0 sslist 2 2 0 0 0 3 1 0 1 64 5 "gss" "n" "gsat" "n" "gss2" 0 sscprescan 1 1 1000000 0 1 2 1 1 1 64 1 0 0 sw2 1 1 2000000 0 0 2 1 0 1 64 1 0 0 svftmplt 2 2 8 0 0 2 1 0 1 64 1 "$pslabel$_%DATE%_" 0 study 2 2 8 0 0 3 1 0 1 64 1 "" 0 stheta 1 1 1000000 -1000000 0 2 1 256 1 64 1 0 0 studyid_ 2 2 8 0 0 2 1 0 1 64 1 "s_20200910_01" 0 sw1 1 1 2000000 0 0 2 1 0 1 64 1 3313.31538621 0 sw 1 1 10000000 100 0 2 1 11 1 64 1 10000 0 t_dgvox 2 2 0 0 0 4 1 0 1 64 1 "" 0 tDELTA 3 1 14 14 14 2 1 8192 1 64 1 0 0 sw3 1 1 2000000 0 0 2 1 0 1 64 1 0 0 t_array 2 2 0 0 0 3 1 1 1 64 1 "" 0 t_gap 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_ppe3 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_phi 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_lro 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_lpe2 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_lpe3 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_mark 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_ns 1 1 1000000 0 1 3 1 1 1 64 1 1 0 t_ppe 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_pos2 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_pos1 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_pos3 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_ppe2 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_vox2 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_theta 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_psi 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_pro 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_pss 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_thk 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_vox1 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_vtheta 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_vphi 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_vox3 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 t_vpsi 1 1 1000000 -1000000 0 3 1 0 1 64 1 0 0 tag 4 2 0 0 0 2 1 0 1 64 1 "n" 0 tcrushovs 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.003 0 tau1 3 1 14 14 14 2 1 8192 1 64 1 0 0 tagpwrf 7 1 0 4095 1 2 1 8192 1 64 1 3910 0 tagpat 2 2 0 0 0 2 1 0 1 64 1 "hard" 0 tagflip 3 1 1 0 100000 2 1 8192 1 64 1 90 0 tagpwr 1 1 17 17 17 2 1 8192 1 64 1 36 0 tau 3 1 14 14 14 2 1 8192 1 64 1 0 0 tcrushfs 3 1 14 14 14 2 1 8192 1 64 1 0.001 0 tau2 3 1 14 14 14 2 1 8192 1 64 1 0 0 tcrush 3 1 14 14 14 2 1 8192 1 64 1 0.003 0 tcrushmt 3 1 14 14 14 2 1 8192 1 64 1 0.004 0 tcrushir 3 1 14 14 14 2 1 8192 1 64 1 0.001 0 tcrushmtc 3 1 14 14 14 2 1 8192 1 64 1 0.001 0 temp 1 1 200 -150 0.1 2 1 8 0 64 1 37 0 tdelta 3 1 14 14 14 2 1 8192 1 64 1 0 0 tcrushsat 3 1 14 14 14 2 1 8192 1 64 1 0.001 0 tcrushro 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.002 0 tcrushws 3 1 14 14 14 2 1 8192 1 64 1 0.005 0 te1 3 1 14 14 14 2 1 8192 1 64 1 0.01 0 te 3 1 14 14 14 2 1 8192 1 64 1 0.02 0 te2 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.01 0 th 1 1 1000000000 0 0 4 1 1 1 64 1 14.4499993971 0 tflow 3 1 14 14 14 2 1 8192 1 64 1 0.001 0 tempFTS 1 1 200 -150 0.1 2 1 1 1 64 1 25 0 th2d 1 1 1 1e-18 0 4 1 17 1 64 1 0.8 0 tpwr2f 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 3757.5 0 time_saved 2 2 8 0 0 2 1 0 1 64 1 "" 0 ti 3 1 14 14 14 2 1 8192 1 64 1 0.06 0 thk2fact 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 thk 1 1 1000000 -1000000 0 2 1 0 1 64 1 40 0 theta2 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 thk2 1 1 1000000 -1000000 0 2 1 0 1 64 1 5 0 thktitle 2 2 0 0 0 4 1 3 1 64 1 "" 0 thkcon 1 1 1000000 -1000000 0 2 1 0 1 64 1 0 0 thkunit 2 2 0 0 0 2 1 8 1 64 1 "" 0 time_exp 2 2 8 0 0 2 1 0 1 64 1 "unknown" 0 ticks2 7 1 32767 0 0 2 1 0 1 64 1 0 0 ticks 1 1 1000000 0 1 2 1 1 1 64 1 0 0 time_complete 2 2 8 0 0 2 1 0 1 64 1 "20200910T171755" 0 time_processed 2 2 8 0 0 3 1 0 1 64 1 "20200910T171755" 0 time_plotted 2 2 8 0 0 3 1 0 1 64 1 "" 0 time_run 2 2 8 0 0 2 1 0 1 64 1 "20200910T164332" 0 tof 5 1 7 7 7 2 1 8202 1 64 1 -7082.1 0 tin 2 2 1 0 0 2 1 2 1 64 1 "n" 3 "n" "w" "y" time_submitted_local 2 2 8 0 0 2 1 0 1 64 1 "20200910T164328X04PMThuSep" 0 time_submitted 2 2 8 0 0 2 1 0 1 64 1 "20200910T164328" 0 time_svfdate 2 2 8 0 0 2 1 0 1 64 1 "20200910T164328" 0 tm 3 1 14 14 14 2 1 8192 0 64 1 0 0 tlt 1 1 1000000000 -1000000000 0 4 1 1 1 64 1 -0.0189581120779 0 tn 2 2 4 0 0 2 1 8 1 64 1 "H1" 0 tpwr 1 1 17 17 17 2 1 8192 1 64 1 58 0 tpe2 3 1 14 14 14 2 1 8192 1 64 1 0.000168 0 tpe 3 1 14 14 14 2 1 8192 1 64 1 0.000168 0 tpe3 3 1 14 14 14 2 1 8192 1 64 1 0.000168 0 tpwr1f 7 1 0 4095 1 2 1 8192 1 64 1 3757 0 tpwr1 1 1 17 17 17 2 1 8192 1 64 1 62 0 tpwr2 1 1 17 17 17 2 1 8192 1 64 1 62 0 tpwrdy 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 tpwr4 1 1 17 17 17 2 1 8192 1 64 1 59 0 tpwr3f 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 tpwr3 1 1 17 17 17 2 1 8192 1 64 1 55 0 tpwr5 1 1 17 17 17 2 1 8192 1 64 1 0 0 tpwr4f 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 tpwrdx 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 tpwrfsatf 7 1 0 4095 1 2 1 8192 1 64 1 3873 0 tpwrf 1 1 0 4095 1 2 1 8192 1 64 1 4095 0 tpwrdz 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 tpwrfsat 1 1 63 -16 1 2 1 0 1 64 1 32 0 tpwri 1 1 17 17 17 2 1 8192 1 64 1 56 0 vtc 1 1 255 0 1 2 1 2 1 64 1 25 0 vf 1 1 1000000000 0 0 4 1 3 1 64 1 237.814133061 0 tssr 3 1 14 14 14 2 1 8192 1 64 1 0 0 trigger 7 1 32767 0 0 2 1 0 1 64 1 0 0 tpwrsatf 7 1 32767 0 0 2 1 0 1 64 1 0 0 tpwrmtf 7 1 32767 0 0 2 1 0 1 64 1 0 0 tpwrmt 7 1 63 -16 1 2 1 0 1 64 1 0 0 tpwrsat 7 1 63 -16 1 2 1 0 1 64 1 0 0 trace 2 2 2 0 0 4 1 3 1 64 1 "f1" 2 "f1" "f2" tr 3 1 14 14 14 2 1 8192 1 64 1 4 0 trelax 3 1 14 14 14 2 1 8192 1 64 1 0 0 tror 3 1 14 14 14 2 1 8192 1 64 1 0.000112 0 trimage 3 1 14 14 14 2 1 8192 1 64 1 0 0 trigger2 7 1 32767 0 0 2 1 0 1 64 1 0 0 trise 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.00018 0 tspoil 3 1 14 14 14 2 1 8192 1 64 1 0.001 0 trtype 7 1 32767 0 0 2 1 0 1 64 1 0 0 tspoilws 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.004 0 username 2 2 8 0 0 2 1 0 1 64 1 "vnmr1" 0 tvox1 3 1 14 14 14 2 1 8192 1 64 1 0.000168 0 ttagcrush 3 1 14 14 14 2 1 8192 1 64 1 0.0015 0 use_finepower 2 2 1 0 0 2 1 0 1 64 1 "y" 2 "y" "n" verbose 4 2 0 0 0 2 1 0 1 64 1 "n" 0 vapor_spatsat 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 vp 7 1 500 -500 0.1 4 1 3 1 64 1 0 0 vox1 1 1 1000000 -1000000 0 2 1 0 1 64 1 2 0 volumercv 2 2 8 0 0 2 1 0 1 64 1 "y" 0 vo 1 1 500 -500 0 4 1 1 1 64 1 0 0 vloc_ 2 2 8 0 0 2 1 8 1 64 1 "C7" 0 volnv2 1 1 1000000 0 1 2 1 9 1 64 1 0 0 volumexmt 2 2 8 0 0 2 1 0 1 64 1 "y" 0 volumes 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 vorient 2 2 0 0 0 2 1 0 1 64 1 "trans" 0 vox3 1 1 1000000 -1000000 0 2 1 0 1 64 1 2 0 vox2 1 1 1000000 -1000000 0 2 1 0 1 64 1 2 0 voxtitle 2 2 0 0 0 4 1 3 1 64 1 "" 0 vprof_axis 2 2 8 0 0 2 1 0 1 64 1 "r" 0 vpostitle 2 2 0 0 0 4 1 3 1 64 1 "" 0 vpfi 1 1 500 -500 0 4 1 0 1 64 1 0 0 vpf 1 1 500 -500 0 4 1 0 1 64 1 0 0 vphi 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 8 1 64 1 0 0 vprof 2 2 8 0 0 2 1 0 1 64 1 "n" 0 vrack_ 7 1 5 1 0 2 1 0 1 64 1 1 0 vpsi 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 8 1 64 1 0 0 vproto_ 2 2 8 0 0 2 1 0 1 64 1 "" 0 vs2d 1 1 1000000000 1e-06 0 4 1 3 1 64 1 8863.52216068 0 vs 1 1 1000000000 1e-06 0 4 1 3 1 64 1 235000.156024 0 vsproj 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 3 1 64 1 42749.9633302 0 x2y2 7 1 19 19 19 2 1 8192 1 64 1 10000 0 wsbw 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 260 0 wf1 1 1 9.99999984307e+17 -9.99999984307e+17 0 4 1 0 1 64 1 0.019316 0 wc2 1 1 4 4 4 4 1 8195 1 64 1 155 0 wbs 2 2 6 0 0 3 1 3 1 64 1 "" 0 vtwait 3 1 14 14 14 2 1 8194 1 64 1 300 0 vtheta 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 8 1 64 1 0 0 vzone_ 7 1 15 1 0 2 1 0 1 64 1 1 0 wc 1 1 2 2 2 4 1 8203 1 64 1 250 0 werr 2 2 6 0 0 3 1 3 1 64 1 "xmwerr" 0 wdone 2 2 8 0 0 3 1 0 1 64 1 "xmwexp" 0 weight 1 1 9.9e+17 0 0.001 3 1 0 1 64 1 0 0 wexp 2 2 6 0 0 3 1 3 1 64 1 "abortoff procplot save acqWexp aborton" 0 wf 1 1 8190 0 1e-07 4 1 3 1 64 1 1 0 wrefnt 7 1 32767 0 0 2 1 0 1 64 1 4 0 wref 4 2 4 0 0 2 1 0 1 64 1 "n" 0 wp 1 1 5000000 0 0 4 1 11 1 64 1 9998.77929688 0 wnt 2 2 6 0 0 3 1 3 1 64 1 "wft dssh" 0 wp1 1 1 100000 0 0 4 1 3 1 64 1 3313.31538621 0 wrefgain 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 2 0 wrefarray 4 2 4 0 0 2 1 0 1 64 1 "" 0 wrefname 2 2 8 0 0 2 1 0 1 64 1 "/home/lana/vnmrsys/studies/s_2009080302/press_20090803_10.fid" 0 ws_delay 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 ws 4 2 0 0 0 2 1 0 1 64 1 "y" 0 wrefstatus 2 2 8 0 0 2 1 0 1 64 1 "wref" 0 ws_flipmult 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 ws_delta 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0 0 ws_opt 2 2 8 0 0 2 1 0 1 64 1 "rf" 0 wss 2 2 8 0 0 2 1 0 1 64 1 "vapor" 0 wsfrq 5 1 8 8 8 2 1 8192 1 64 1 268 0 wsflipf 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1 0 wscrush 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4 0 wsflipf_last 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 1.2 0 wspat 2 2 8 0 0 2 1 0 1 64 1 "P10" 0 wshim 4 2 4 0 0 2 1 1 1 64 1 "n" 15 "e" "f" "g" "n" "s" "0" "1" "2" "3" "4" "5" "6" "7" "8" "9" wsrf 4 2 4 0 0 2 1 0 1 64 1 "y" 0 wtag 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 0.03 0 wstpwr 7 1 63 -16 1 2 1 0 1 64 1 32 0 wstcrush 3 1 14 14 14 2 1 8192 1 64 1 0.002 0 wstpwrf 1 1 9.99999984307e+17 -9.99999984307e+17 0 2 1 0 1 64 1 4009.625 0 wtfile1 2 2 15 0 0 3 2 1 1 64 1 "" 0 wtfile 2 2 15 0 0 3 1 1 1 64 1 "" 0 x1 7 1 19 19 19 2 1 8192 1 64 1 4733 0 z3x 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2 7 1 19 19 19 2 1 8192 1 64 1 338 0 y1 7 1 19 19 19 2 1 8192 1 64 1 -3114 0 xy 7 1 19 19 19 2 1 8192 1 64 1 -2038 0 x4 7 1 19 19 19 2 1 8192 1 64 1 0 0 x3 7 1 19 19 19 2 1 8192 1 64 1 -4700 0 xdiag 1 1 1e+18 0 0 4 1 17 1 64 1 0 0 xz2 7 1 19 19 19 2 1 8192 1 64 1 2241 0 xz 7 1 19 19 19 2 1 8192 1 64 1 7091 0 yz2 7 1 19 19 19 2 1 8192 1 64 1 -401 0 y4 7 1 19 19 19 2 1 8192 1 64 1 0 0 y3 7 1 19 19 19 2 1 8192 1 64 1 143 0 yz 7 1 19 19 19 2 1 8192 1 64 1 31170 0 z1 7 1 19 19 19 2 1 8192 1 64 1 -18205 0 z0_ 1 1 19 19 19 2 1 73744 1 64 1 0 0 z1c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2xy 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2x2y2 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z2x3 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3 7 1 19 19 19 2 1 8192 1 64 1 -7859 0 z2y3 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z5 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4x 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3y 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3x3 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3x2y2 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3xy 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4 7 1 19 19 19 2 1 8192 1 64 1 0 0 z3y3 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4c 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4xy 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4x2y2 7 1 19 19 19 2 1 8192 1 64 1 0 0 z4y 7 1 19 19 19 2 1 8192 1 64 1 0 0 z7 7 1 19 19 19 2 1 8192 1 64 1 0 0 z5y 7 1 19 19 19 2 1 8192 1 64 1 0 0 z5x 7 1 19 19 19 2 1 8192 1 64 1 0 0 z6 7 1 19 19 19 2 1 8192 1 64 1 0 0 zx2y2 7 1 19 19 19 2 1 8192 1 64 1 -2459 0 zero 1 1 1e+17 -1e+17 0 3 1 39 1 64 1 0 0 z8 7 1 19 19 19 2 1 8192 1 64 1 0 0 zxy 7 1 19 19 19 2 1 8192 1 64 1 -2054 0 zx3 7 1 19 19 19 2 1 8192 1 64 1 0 0 zy3 7 1 19 19 19 2 1 8192 1 64 1 0 0